著者
岡本 一晃 松岡 浩司 廣野 英雄 横田 隆史 坂井 修一
雑誌
情報処理学会研究報告計算機アーキテクチャ(ARC)
巻号頁・発行日
vol.1995, no.80(1995-ARC-113), pp.201-208, 1995-08-23

我々は、スレッド制御を自然に行える実行モデルとして、コンティニュエーション駆動実行モデルを考案し、それに基づいてマルチスレッド処理を最適化する並列処理アーキテクチャRICA(educed Interprocessor?Communication Architectur)を提案している。現在開発を進めているRWC?1のプロセッサは、RICAに基づくマルチスレッド処理機構を有しており、通信や同期のオーバヘッドを削減することで大域的な並列処理性能の向上を図っている。本稿ではRWC?1プロセッサのマルチスレッド処理機構について述べ、RWC?1におけるスレッドレベル並列処理の基本動作を示す。
著者
瀬尾 和男 横田 隆史
雑誌
情報処理学会研究報告計算機アーキテクチャ(ARC)
巻号頁・発行日
vol.1988, no.4(1987-ARC-048), pp.81-88, 1988-01-21

我々の研究所において開発を進めているRISC方弐μプロセッサ"Pegasus"は、カスタムVLSI技術に基づくProlog処理の高速化を目指したものであり、Prologの実行形態に即したスタック操作、タグ操作、Backtrackに伴う状態の退避・復旧等を効率良く実行できる命令セットを備えている。特に、Backtrackに伴う状態の退避・復旧に関しては、互いにコピー可能なレジスタ対によって構成されるレジスタ・ファイルをカスタムVLSI設計によって実現し、高速化を図っている。本報告では、Pegasusアーキテクチャを検証する目的で行ったプロトタイプ・チップの開発について述べる。このチップは、プロトタイプ開発に要する時間の短縮化を目標にフルカスタム/スタンダード・セル方式によって設計されている。テスト・ボードに組み込んだ試験の結果、マシンサイクル200nsで動作可能であり、Append:239KLIPS、Quicksort:149KLIPSの推論性能を達成している。
著者
重信 晃太 大津 金光 大川 猛 横田 隆史
雑誌
研究報告システム・アーキテクチャ(ARC) (ISSN:21888574)
巻号頁・発行日
vol.2017-ARC-227, no.39, pp.1-6, 2017-07-19

近年,モバイル機器や組込み機器はマルチコアプロセッサや GPU などの処理装置を備えたものが普及している.これらの機器を最大限活用するためには,プログラムを実行機器の環境に合わせて最適化する必要がある.そこで我々は LLVM をベースとして実行機器上で ARM バイナリコードを実行機器の環境に合わせて自動で最適化するシステムを開発している.LLVM の最適化処理は LLVM の中間表現 (IR) に対して行われるため,ARM 機械語をLLVM IR に変換する ARM 機械語フロントエンドが重要となる.後の最適化の為に,生成される LLVM IR は最適化がしやすい表現である必要があるが,その表現は自明なものではない.本稿では変換の第一段階としてソースコードから生成される IR と同等の IR を生成する変換手法について検討し,その手法を適用した結果について述べる.
著者
大川 猛 菅田 悠平 木戸 剛正 若槻 泰迪 大津 金光 横田 隆史
雑誌
研究報告組込みシステム(EMB) (ISSN:2188868X)
巻号頁・発行日
vol.2018-EMB-48, no.3, pp.1-2, 2018-06-22

ROS2 (Robot Operating System version 2) は,知的ロボットの分散ソフトウェア開発プラットフォームとして普及が期待されている.ROS2 における通信レイヤとして用いられる DDS (Data Distribution Service) は,分散ソフトウェアにおいて,通信するトピックごとに細かな QoS (Quality of Service) ポリシーが設定可能であることが特徴である.一方,FPGA (Field Programmable Gate Array) は,知的ロボットの実現に必要な画像認識処理を,高い電力効率での並列処理が可能であるが,高性能な回路の設計が難しいという課題がある.本稿では,FPGA を用いて DDS に準拠した Publish / Subscribe 通信を行うための調査および初期検討結果について報告する.
著者
辻祐喜 十鳥弘泰 大津金光 大川猛 横田隆史 馬場敬信
雑誌
第75回全国大会講演論文集
巻号頁・発行日
vol.2013, no.1, pp.373-374, 2013-03-06

新規アーキテクチャの開発では,評価条件を変えながら繰り返しシミュレーションを行う必要があり,プログラムの種類や規模によってシミュレーションが長時間に及ぶことがある.中にはシミュレーションが長時間経過した後,初めて発現するバグがあり,このバグの原因場所を特定する方法の1つに,シミュレーションを最初からやり直し,バグが発現した場所付近で変数等の値を調べる方法があるが,実行が長時間に及ぶプログラムにおいてこの方法は非効率といえる.そこで,本稿では任意の場所で実行中のプロセス状態を保存し,保存した状態から実行再開することでバグの原因場所を見つけるまでの時間を短縮する方法を提案する.
著者
木戸 剛生 大川 猛 大津 金光 横田 隆史
雑誌
第81回全国大会講演論文集
巻号頁・発行日
vol.2019, no.1, pp.53-54, 2019-02-28

Publish/Subscribe通信プロトコルであるDDS(Data Distribution Service)の暗号処理のハードウェア化について検討を行った。Publish/Subscribe通信における暗号処理をハードウェアで高速化し、低消費電力かつセキュアで、応答性の高い通信機能のずつ元を提案する。Raspberry PiとノートPCを用いて、DDS実装であるFast RTPSの暗号処理時間について計測を行った。本研究においては通信フレームワークの暗号処理をハードウェア化することで、セキュアな通信機能を容易にシステムに追加することを可能とすることを目的とする
著者
荒井裕介 大津金光 大川猛 横田隆史 馬場敬信
雑誌
第75回全国大会講演論文集
巻号頁・発行日
vol.2013, no.1, pp.219-220, 2013-03-06

Androidは,スマートフォン用OSとしては日本およびアメリカでトップシェアを誇っており,1人が1台のAndroid端末を所持していると言っても過言ではない.またAndroid端末は,Wi-FiやBluetoothといった無線通信手段を持っており,これらの通信手段を利用することで複数の端末間での相互通信が可能である.このような端末間での相互通信を利用することでクラスタ計算機としてのシステムを実現することができ,多くの端末が集まるような機会を利用して大規模な演算を行うことが可能となる.本稿では,ネットワークによって相互に接続された複数のAndroid端末を使用したクラスタ計算機システムの概要とその構築について述べる.
著者
新里 将大 大津 金光 大川 猛 横田 隆史
雑誌
第80回全国大会講演論文集
巻号頁・発行日
vol.2018, no.1, pp.137-138, 2018-03-13

近年,高性能化が進むモバイル端末を,並列処理の計算資源として利用することが期待されている.我々は,Android端末を使用し,MPIによる並列処理を行うクラスタ計算機システムを開発している.本システムは,MPI並列処理を行うためにAndroid端末のスーパーユーザ権限の取得が必要である.しかし,Android端末のスーパユーザ権限取得作業は,端末が起動不可になるなどの危険をともなう.本稿では,Android端末上で,スーパーユーザ権限を使用せずにMPI並列処理を行うための実行環境について検討する.
著者
神宮 健吾 大津 金光 大川 猛 横田 隆史
雑誌
研究報告システム・アーキテクチャ(ARC) (ISSN:21888574)
巻号頁・発行日
vol.2018-ARC-231, no.16, pp.1-6, 2018-06-07

現在,マルチコアプロセッサが広く普及しており,スレッドレベル並列処理によるプログラムの高速化が可能となっている.一方,その性能を活用するためには並列化された機械語プログラムが必要であるが,数多くの逐次処理機械語プログラムが存在しており,それらはマルチコアプロセッサの性能を活かせないままである.これらをマルチコアプロセッサ上で高速化するためには, ソースコードの並列化と再コンパイル処理という追加的なコストが必要となる.この問題に対して,機械語プログラムをバイナリ変換によって直接並列化する手法が有効である.これを背景に,我々は逐次機械語プログラムから,最適化された並列処理コードへ変換する自動並列処理システムを開発している.本稿では,機械語プログラムをコンパイラ基盤 LLVM の中間表現コードへと変換し,中間表現レベルでの並列化処理において,解析とコード生成を分離するためのインターフェースとなる並列化指示文とそれに基づく並列処理コード生成機能を実現する.プロトタイプの実装を用いた実験により,シングルループの並列化指示文と並列処理コード生成機能により並列の中間表現コードが生成できることを確認した.
著者
横田 隆史 大津 金光 古川 文人 馬場 敬信
出版者
一般社団法人情報処理学会
雑誌
情報処理学会研究報告計算機アーキテクチャ(ARC) (ISSN:09196072)
巻号頁・発行日
vol.2005, no.120, pp.81-86, 2005-11-30
参考文献数
5
被引用文献数
4

予測器は一般に対象の過去の挙動をもとにして,可能性のある選択肢のなかから最尤のものを次の状態として「予測」する任を担う.予測器があらかじめ与えられる情報なしに働くならば,予測対象とする系の性質・挙動が予測器の性能となって現れてくるはずである.我々は,系の挙動に見られる偏りやランダムさに着目し,エントロピーを測度として定量化することで,予測器の性能を表現することを試みた.系を2値のマルコフ情報源と考え,その情報源エントロピーを,予測に関して系が持つ情報量と考えた.また,予測器が内部にテーブル構造を持つとき,テーブルエントリごとの使用の多寡からもエントロピーを定義し検討対象とした.予測器の方式によってエントロピー値と予測成功率の間に明らかな相関性が認められる.Predictors are responsible for predicting the next state by means of past events. When a predictor works without any knowledge on the system, its prediction performance should be influenced by statistical characteristics of the system at some level. We focus our viewpoint on randomness in the system behavior and introduce an entropy concept so as to quantitatively measure the system behavior, i.e., randomness. We define information source entropy and reference entropy. These entropy values reveal prediction performance in some prediction methods.
著者
横田 隆史 松岡 浩司 岡本 一晃 廣野 英雄 坂井 修一
出版者
一般社団法人情報処理学会
雑誌
情報処理学会論文誌 (ISSN:03875806)
巻号頁・発行日
vol.36, no.7, pp.1600-1609, 1995-07-15
参考文献数
12
被引用文献数
4

超並列計算機の実現,特に,通信レイテンシンの隠蔽や並列性の自然な抽出において優位性を持つマルチスレッド・アーキテクチャでの超並列計算機を考える場合,細粒度・高頻度で非整列なパターンの通信に対する耐性や,通信レイテンシンの短縮について相互結合方式を検討しなければならない.また一方でOSなどの運用面での検討も必要である.本論文では,まずこのような超並列向けの相互結合網の要件を整理し,次に,間接多段網のスイッチを演算ノードに置き換えて得られるサーキュラ・オメガ網の特質に着目し,その定義を一般化することにより直接網のクラスDCE(Directed Cycles Ensemble)を定義する.そして,任意のDCE網の直積を考えることで多次元に拡張できることを示し,これによって得られる結合網のクラスMDCE(Multidimensional DCE extension)を提案する.代表的なDCE網,MDCE網について直径ならびに平均距離の解析を行い,さらに,シュミレーションにより5種類の通信パターンについて動的な転送特性の測定を行った結果,本稿で想定している超並列計算機に適用する場合のMDCE網の優位性が示される.
著者
十鳥 弘泰 大津 金光 横田 隆史 馬場 敬信
出版者
一般社団法人電子情報通信学会
雑誌
電子情報通信学会技術研究報告. CPSY, コンピュータシステム (ISSN:09135685)
巻号頁・発行日
vol.109, no.319, pp.19-24, 2009-11-26
被引用文献数
7

我々は,ループ中の実行頻度上位2位の経路(パス)を抽出し投機的に並列実行することでプログラムを高速化する2パス限定投機方式を提案している.本稿では,広範なプログラムに対する本方式の詳細な評価を行うため,現実的なハードウェア構成を想定したマルチコアプロセッサシステムPALSを提案するとともに,その評価環境について述べる.PALSでは,パス予測を行うハードウェア機構により,投機的なスレッドの制御にかかるオーバヘッドを低減する.また,プロセッサおよびプロセッサの持つメモリをそれぞれリング状に接続し,レジスタ間およびメモリ間での通信を実現することで,スレッド間での同期待ち時間を低減する。
著者
横田 隆史 斎藤盛幸 大津 金光 古川 文人 馬場 敬信
出版者
一般社団法人情報処理学会
雑誌
情報処理学会論文誌コンピューティングシステム(ACS) (ISSN:18827829)
巻号頁・発行日
vol.46, no.16, pp.1-13, 2005-12-15
参考文献数
20
被引用文献数
23

LSI の高集積化にともない,計算機システムで利用可能なハードウェア資源の量は拡大の一途をたどっているが,一方でクロック速度の向上が飽和する状況になっており,命令レベル・スレッドレベルの並列性を活かした効果的な実行方式が求められている.本論文は,実行頻度の高いホットループに対して,次のイテレーションで行われる実行経路(パス)を予測して投機実行するパスベースの投機的マルチスレッド処理に関して,スレッドレベル並列性を得るための現実的かつ効果的な方法を検討する.パスを投機の対象とすることで,スレッド間依存の問題の緩和や,スレッドコードの最適化が図れるメリットを享受できるが,その一方で,効果的なパスの予測方法・投機方法が課題となる.本論文では,一般的なプログラムでは多くの場合,予測・投機の対象を実行頻度の高い2 つのパスに絞っても実質上問題にならないことを示し,2 つのパスに限定して投機実行する2 パス限定投機実行方式を提案する.実行頻度の上位2 つのパスが支配的である場合は,最初のパスの投機に失敗しても次点のパスが高確率で成功するために実行効率を上げられる.本提案方式をモデル化し解析的に性能見積りを行うとともに,2 レベル分岐予測器をもとにしたパス予測器を用い,トレースベースのシミュレータにより評価を行い有効性を示す.Modern microprocessor systems take their advantages by exploiting large hardware resources in a single chip and by accelerating clock speed. However, in near future, LSI integration will be continued while clock speed be saturated. Thus efficient instruction- and thread-level parallelism is required to achieve higher performance. This paper addresses a path-based speculative multithreading, where frequently executed path is predicted and executed speculatively. We propose a practical speculation method for path-based speculative multithreading. Most practical programs execute only one or two paths in hot-loops, while there are many possible paths according to many branches. We show most frequent two paths are practical candidates to predict and speculate, and thus we propose the two-path limited speculation method. Analytical performance estimation and trace-based simulation results show effectiveness of the proposed method.